2016-12-10 14 views
0

Как я понял, SystemVerilog не поддерживает определение макросов в пакете. И если вы хотите реализовать свои собственные макросы для UVM, вы должны записать их в отдельный файл и включить этот файл в начало, аналогично файлу «uvm_macros.svh».Макросы в пакете

Может кто-то подтвердить это.

ответ

1

Определения макросов и другие директивы компилятора обрабатываются как часть блока компиляции до того, как распознается любой другой синтаксис SystemVerilog. Таким образом, текст для макроопределения может отображаться в тексте, который определяет пакет, но определение действительно для любого исходного кода, который появляется после него в модуле компиляции, и не имеет никакого отношения к какой-либо области, определенной в SystemVerilog. Итак, да, вы хотите поместить свои макросы в отдельный файл и включить их в любой блок компиляции, который хочет их использовать.

Пожалуйста, см:

https://verificationacademy.com/forums/ovm/do-you-include-or-import#reply-35286

 Смежные вопросы

  • Нет связанных вопросов^_^