uvm

    0зной

    1ответ

    Как я понял, SystemVerilog не поддерживает определение макросов в пакете. И если вы хотите реализовать свои собственные макросы для UVM, вы должны записать их в отдельный файл и включить этот файл в н

    1зной

    1ответ

    У меня есть читать последовательность регистров, что идет что-то вроде этого: extend vr_ad_sequence_kind: [READ_REG]; extend READ_REG vr_ad_sequence { // register to read reg_kind : vr_ad_r

    0зной

    1ответ

    Я использовал встроенные ограничения в своей последовательности с макросом uvm_do_with, и я наткнулся на то, что я не совсем понимаю. Надеясь кто-то может пролить некоторый понимание этого: Пусть мои

    0зной

    1ответ

    Выполняется ли проверка покрытия на мониторе или у абонента в UVM? Я получаю два разных мнения. В ссылке Cluelogic говорится, что проверка покрытия выполняется в подписчике, но в руководстве пользоват

    0зной

    1ответ

    Я хочу проверить, что если событие происходит, то в «num_ticks» в прошлом должен был быть установлен некоторый сигнал. В качестве примера, свойство я написал это: property test_past; @(posedge cl