2016-11-29 14 views

ответ

0

Если вы хотите прочитать ввод от пользователя или из файла, используйте интерфейс языка программирования Verilog (PLI), чтобы подключить верилог к ​​языку C или C++; и там вы можете использовать системные вызовы, такие как read и fgetc, для получения данных из файла или от пользователя.

См. Руководство пользователя симулятора verilog или выполните поиск в Интернете для ModelSim PLI для помощи в использовании Verilog PLI.

 Смежные вопросы

  • Нет связанных вопросов^_^