Я ищу простой способ преобразования простого модуля Chisel3 в Verilog.Есть ли простой пример создания verilog из модуля Chisel3?
Я принимаю исходный код Gcd, указанный на официальной веб-странице долота.
import chisel3._
class GCD extends Module {
val io = IO(new Bundle {
val a = Input(UInt.width(32))
val b = Input(UInt.width(32))
val e = Input(Bool())
val z = Output(UInt.width(32))
val v = Output(Bool())
})
val x = Reg(UInt.width(32))
val y = Reg(UInt.width(32))
when (x > y) { x := x -% y }
.otherwise { y := y -% x }
when (io.e) { x := io.a; y := io.b }
io.z := x
io.v := y === 0.U
}
Я не могу найти, как написать build.sbt и создания экземпляра класса для преобразования его в Verilog.
Благодаря jkoenig. Да, я видел шаблон repo, но мне нужен быстрый пример, чтобы увидеть, как был создан verilog. – FabienM
Я попытался использовать тот же код для генерации верилога, но я получаю 'java.lang.ClassNotFoundException' – Mahdi
Можете ли вы предоставить больше сообщения об ошибке? Какой класс говорит, что он не может найти? – jkoenig