ПРИМЕЧАНИЕ: если есть лучшее место для меня, чтобы спросить об этом, пожалуйста, дайте мне знать! Я широко использую googled и не могу найти ответпросмотр формы волны с использованием шкалы
Я пытаюсь просмотреть выход простого счетчика/sin LUT, используя средство просмотра осциллограммы scansion. Я использую icarus verilog для компиляции. До сих пор я управлял iverilog -o sinGen_TB sinGenerator_TB в командной строке, а затем VVP sinGen_TB
Я получаю сообщение об ошибке: «Документ„sinGen_TB“не может быть открыт. Скандирование не может открывайте файлы этого типа ».
В качестве альтернативы, когда я сохранить файл как sinGen_TB.vvp или sinGen_TB.vcd, я получаю «Документ„sinGen_TB.vvp“не может быть открыт. Скандирование не может открывать файлы в„Документе“формат.»
Что это значит, и что я могу сделать, что позволит мне просмотреть этот сигнал?
Вот код, я компиляции, если модуль я инстанцировании также необходимо, дайте мне знать:
`include "sinGenerator"
module sinGenerator_TB();
reg clk, rst;
reg [0:3]M;
wire [16:0]data_out;
//instantiate the unit under test
sin_LUT UUT(
.clk(clk),
.rst(rst),
.M(M),
.data_out(data_out)
);
//initialize clock
always begin
#5 clk = ~clk;
end
//initialize variables
initial begin
rst = 1;
M = 1;
#20 rst = 0;
#200 M = 2;
#200 M = 4'b0100;
#200 $stop;
end
endmodule