2013-10-09 3 views
-1
 property p_no_glitch; 
    logic data; 
     @(in[i]) disable iff (!rst_n) 
      (1, data = !in[i]) |=> 
     @(posedge clk) 
      (in[i] == data); 
endproperty : p_no_glitch 
CHECK_GLITCH : assert property(p_no_glitch) else $error("%m p_no_glitch"); 

Если модуль отключен, я получаю следующее сообщение:Verilog утверждение: антецедент импликации никогда не удовлетворенного

антецедент импликации никогда не удовлетворенного

есть флаг (или любой другой путь), чтобы отключить вышеуказанное сообщение?

+0

Какой инструмент вы используете? – dwikle

+0

@dwikle Я использую VCS – Meir

+0

Является ли 'i' genvar или константой non-X? – Greg

ответ

0

Способ перехода в VCS - -assert quiet+quiet1+nopostproc. Конечно, было бы лучше, если бы владелец SVA добавил что-то вроде disable iff (!rst_n or !en)

 Смежные вопросы

  • Нет связанных вопросов^_^