2014-10-31 2 views
1

При попытке получить форму сигнала часов для отображения на игровой площадке EDA я получаю сообщение об ошибке «Выполнение прервано или достигнуто максимальное время выполнения». Как мне получить форму волны?Часы в eda-playground.com в verilog

Code on EDA Playground:

module test; 
    reg clk; 

    initial 
    begin 
     $dumpfile("dump.vcd"); 
     $dumpvars(1); 
     clk=0; 
    end 

    always 
    begin 
     #1 clk<=~clk; 
    end 

endmodule 

ответ

2

Существовал нет $finish так как сим побежал на неопределенный срок и был убит сервером. Добавление # 100 $ finish; к вашей основной тестовой программе вы получите пример 50 часов на EDA Playground.

module test; 
    reg clk; 

    initial 
    begin 
     $dumpfile("dump.vcd"); 
     $dumpvars(1); 
     clk=0; 
     #100 $finish; //<-- End simulation 
    end 

    always 
    begin 
     #1 clk<=~clk; 
    end 

endmodule