Я хотел бы проверить, что шина стабильна в утверждении. Например, я ожидал бы, что следующее утверждение должно указывать на ошибку, если data
изменится в часах после падения re
.
wire clk, rst_n, re;
wire [15:0] data;
a_chk_stable_data:
assert property (@(posedge clk) disable iff(!rst_n)
($fell(re) |=> $stable(data[15:0])))
else begin
$display("ERROR: one or more bits of data not stable");
end
Я считаю, что $rose
работает только на LSB автобуса (link). $stable
работает только на LSB или поддерживает сигналы любой ширины?
Спасибо за разъяснения! – Cody