2016-01-23 6 views
2

Всякий раз, когда я создаю проект VHDL, у меня есть много модулей. Каждый из этих модулей затем подключается к одному основному файлу и поэтому все синтезируется. Но я хочу написать отдельные тестовые скамейки для каждого из этих модулей и один для глобального процесса. Было бы неплохо, если бы я мог что-то сделать, чтобы связать все эти тестовые узлы вместе и заставить их работать последовательно, чтобы проверить весь мой проект за один проход. Как я могу это сделать? Мне нравится использовать GHDL и утверждает. Можно ли создать один супер-тест? Или будет ли скрипт оболочки, который итерации над ними, лучше?Запуск нескольких тестовых стендов для конструкций VHDL

+1

Хорошая стратегия. Для VHDL тоже есть инструменты для тестирования единиц ... один называется VUnit, например: https://github.com/LarsAsplund/vunit –

+0

@Paebbels, вы правы. Исправлена. – Cheiron

ответ

3

Короткий ответ VUnit.

Официально поддерживается VHDL-симулятор с открытым исходным кодом GHDL и поставляется также с OSVVM - набором вспомогательных пакетов testbench для генерации случайных значений и покрытия тестов.

Вы должны использовать GHDL 0.34dev (строить из источников), потому что он получил некоторые исправления для vunit и OSVVM.

Все источники доступны в GitHub РЕПО: