2014-11-10 2 views
0

На ModelSim Я не могу запустить симуляцию для любого из моих проектов.ошибка vsim-3170: ModelSim PE Student Edition 10.3d при запуске моделирования

Я установил ModelSim на 2 разных машинах, и оба дают мне такое же сообщение об ошибке:

Для простого проекта детектора края, вот что происходит в консоли после того, как я «Simulation - Start Simulation»:

vsim -gui work.edgedet_tb 
# vsim -gui 
# Start time: 22:48:53 on Nov 10,2014 
# Loading std.standard 
# Loading std.textio(body) 
# Loading ieee.std_logic_1164(body) 
# Loading ieee.numeric_std(body) 
# Loading ieee.std_logic_arith(body) 
# Loading ieee.std_logic_unsigned(body) 
# Loading work.edgedet_tb(structural) 
# ** Error: (vsim-3170) Could not find 'C:/Users/Andy/Google Drive/DigitaleSynthese/5-11-14/appl-layer/edgedetector/work.edgedet(behav)'. 
# 
# Error loading design 

Код компилируется без ошибок/предупреждений.

Если я проверяю рабочую папку в каталоге проекта, похоже, что она содержит кучу стандартных файлов, но не связанных с проектом файлов. В предыдущих проектах, которые я сделал в прошлом (с более ранней версией), он будет содержать больше файлов с именами, соответствующими проекту.

Любые идеи? Благодаря!

+0

Путь к файлу является довольно долго, и я когда-то возникла проблема с ModelSim из-за длинный путь к файлу, поэтому, возможно, стоит попробовать с более коротким пути к файлу. –

+0

Спасибо за ваш комментарий. Однако такая же проблема с «C: \ vhdlprojects». – andy

+2

Ознакомьтесь с командами "vlib" и "vmap". Возможно, он выглядит не в том месте для вашей рабочей библиотеки, что-то вроде 'vmap work c:/path/to/right/place' может быть тем, что вам нужно. –

ответ

1

Как выясняется, другие проекты сделал Simulate, поэтому это была небольшая ошибка в исходном коде:

edgedet.vhd: 
ARCHITECTURE behaviour OF edgedet IS 

edgedet_tb.vhd: 
for uut : edgedet use entity work.edgedet(behav); 
+2

... так что он искал в нужном месте, но за неправильное имя! Я уверен, что мы все были там на каком-то этапе ... –