У меня есть 9 триггеров и один сброс ввода. Мне нужно установить выходы 8 триггеров на 0
, когда сброс 0
. И выход одного триггера на 1
. Этот триггер уникален и никогда не менялся. Как это сделать?Различные триггеры - разные выходы для одного входа сброса
код триггеров:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity d_ff is
port
(
clk : in std_logic;
rst : in std_logic;
d : in std_logic;
q : out std_logic
);
end entity d_ff;
architecture logicFunc of d_ff is
begin
process (clk) is
begin
if (rst='0') then
q <= '0';
elsif (clk'event and clk = '1') then
q <= d;
end if;
end process;
end architecture logicFunc;
Теперь этот код устанавливает все триггеры в 0
когда сброс 0
и я не могу изменить выход первого триггера в основной программе
Так простое решение. Как я этого не делал. Благодаря! – levshkatov