Я попытался скомпилировать кодне может иметь смысл ошибки в системе Verilog
module counter(
input clk,
input upSignal,
input downSignal,
output [7:0] count
);
always_ff @(posedge clk) begin
if (upSignal)
count <= count + 1;
else if (downSignal)
count <= count - 1;
end
endmodule
, но я получаю ошибку
Error (10170): Verilog HDL syntax error at counter.v(7) near text "@"; expecting ".", or "("
что это значит?
Какой программный инструмент вы пытаетесь скомпилировать? Некоторым симуляторам по умолчанию соответствует синтаксис Verilog-2001, и нужно сказать, что ожидается SystemVerilog ... – Marty
Altera Quartus II – segfault
Я не знаком с этим программным обеспечением. 'always_ff' - это ключевое слово в SystemVerilog, но не в Verilog-2001. Проверьте документы программного обеспечения, чтобы убедиться, что вам нужно включить поддержку SystemVerilog. Если вы используете его из командной строки, это может быть что-то вроде '-sv' или тому подобное. – Marty