modelsim

    3зной

    1ответ

    Есть ли способ сказать симулятору (я использую Modelsim), чтобы вытащить сигнал в слабый «H», когда он не управляется двунаправленным интерфейсом? Например, если у меня есть сигнал I2C I2C_SDA, которы

    0зной

    2ответ

    я редактировал эту нить, чтобы обновить весь мой новый проект и сделать его более удобным для чтения: --Propagate & generate team-- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.a

    3зной

    2ответ

    У меня возникли проблемы с запуском проекта Verilog с ModelSim Student Edition 10.2c. Все компилируется без ошибок, однако я получаю следующее сообщение об ошибке во время выполнения: # vsim -gui work

    0зной

    1ответ

    У меня возникла проблема с Modelim. Я не могу загрузить тестовый тест в симуляции. Ниже приведен мой испытательный стенд и код TestBench library IEEE; use IEEE.numeric_std.all; use IEEE.std_

    0зной

    2ответ

    Это кажется довольно глупым вопросом: но я не могу найти (более часа) кнопку «перезагрузить» все стандартные окна. Я случайно закрыл довольно много их во время сбоя , (Особенно «командное окно» и сигн

    0зной

    2ответ

    Я пытаюсь построить простой генератор импульсов для CPLD в VHDL. У меня есть серия простых , если операторов, которые должны выполнять определенные задачи в зависимости от состояния входа шины, подклю

    7зной

    2ответ

    Ниже приведен код, который я запускаю. Мой вопрос, почему не 3-й wait until триггер в modelsim? Консольный выход - это просто GOT HERE. Он никогда не попадает на линию GOT HERE 2. Я бы подумал, что с